用 C 编写的库

PQCrypto-SIKE

该软件是“超奇异同源密钥封装”的一部分,是向 NIST 后量子标准化项目提交的内容。
  • 55
  • MIT

sample_prof

PHP 的采样分析器。
  • 55
  • GNU General Public License v3.0

arduino-esp32-solo

ESP32-SOLO 的编译库(由 lbernstone 提供)。
  • 55

NTR_Forwarder

DSi/3DS 主菜单的游戏/应用程序快捷方式!
  • 55

Splatmeme-Printer

Nintendo Switch 的 LUFA 项目。假装是 HORI Pokken Pad 并打印 Splatoon 2 帖子 🍋🍋。
  • 55
  • GNU General Public License v3.0

wimlib

git://wimlib.net/wimlib 的镜像:支持 Windows 映像格式 (WIM) 的库。请在官方论坛 (https://wimlib.net/forums/viewforum.php?f=1) 而不是在这里提交问题。
  • 55
  • GNU General Public License v3.0

CrossroadFinder

在固定的种子上找到不同的十字路口形态。
  • 55

lighthouse-of-doom

一款简单的基于文本的冒险游戏。
  • 55
  • GNU General Public License v3.0 only

tiltbridge

将比重计倾斜到 WiFi 桥。
  • 55
  • GNU General Public License v3.0

WiiUFtpServer

基于 FTPiiU 的强大且优化的 Wii-U FTP 服务器。扩展支持的客户端列表,修复崩溃、文件注入失败、文件时间戳,但主要是传输数量和速度!
  • 55
  • GNU General Public License v3.0

kms-glsl

使用 DRM/KMS Linux 内核子系统运行 OpenGL 片段着色器的 CLI。
  • 55
  • MIT

Aha

Henry S. Warren(dpt 制作)的“Aha”-“黑客助手”超级优化器的调整版本。
  • 55

simd_utils

使用 SIMD 内在函数实现常见数学函数的仅标头库。
  • 55
  • BSD 2-clause "Simplified"

spock

多主控(多主动)(由 pgEdge 提供)。
  • 55
  • GNU General Public License v3.0

tuya-connect-kit-for-mqtt-embedded-c

提供跨平台、跨操作系统的设备连接、上下行通信、OTA等核心能力。
  • 55
  • MIT

foo_chronflow

foob​​ar 的 coverflow 插件。
  • 55
  • GNU General Public License v3.0 only

nosecmem

演示从内核读取 memfd_secret() 数据的能力。
  • 55
  • GNU General Public License v3.0 only

fbff

一个基于 ffmpeg 的小型帧缓冲媒体播放器。
  • 55

ESP32_ESP8266_WiFi_Speaker_OLED

适用于 ESP8266 和 ESP32 芯片的 MP3 流媒体 WiFi 扬声器。
  • 55
  • GNU General Public License v3.0 only

libtsm

终端仿真器状态机。
  • 55
  • GNU General Public License v3.0

RTL8812BU_for_Raspbian

适用于 Raspbian 的 RTL8812BU 驱动程序。
  • 55

MouseJiggler

MouseJiggler 使用 arduino pro-micro(由 DIYCharles)。
  • 55

NanoSDK

NanoSDK - 符合 MQTT 5.0 标准的 SDK,支持 NNG 风格的 QUIC [已移至:https://github.com/emqx/NanoSDK](由 nanomq 提供)。
  • 55
  • MIT

diag-parser

DIAG 解析器和 GSMTAP 转换器。
  • 55
  • GNU General Public License v3.0 only

dwm

用于设置 dwm 的补丁和配置(由 w0ng 提供)。
  • 55

wyHLL

基于3位HyperLogLog的梦想精确近似集基数估计器。比 Redis HyperLogLog 更准确..
  • 55
  • The Unlicense

flipper-zero-fap-boilerplate

Flipper-Zero-Fap-样板。
  • 55

spu32

小型处理单元 32:用 Verilog 编写的紧凑型 RV32I CPU。
  • 55
  • MIT

keras2c

一个简单的库,用于在纯 C 语言中部署 Keras 神经网络以实现实时应用程序。
  • 55
  • GNU Lesser General Public License v3.0 only