用 VHDL 编写的库

ghdl

VHDL 2008/93/87 模拟器。
  • 2.0k
  • GNU General Public License v3.0 only

aws-fpga

AWS EC2 FPGA 硬件和软件开发套件的官方存储库。
  • 1.4k
  • GNU General Public License v3.0

Time-Appliance-Project

开发端到端假设参考模型、网络架构、性能目标以及在数据中心内分发、操作、监控时间同步的方法等等......
  • 1.2k
  • MIT

neorv32

🖥️ 一款微型、可定制且高度可扩展的 MCU 级 32 位 RISC-V 软核 CPU 和用独立于平台的 VHDL 编写的类似微控制器的 SoC。
  • 1.2k
  • BSD 3-clause "New" or "Revised"

Open-Source-FPGA-Bitcoin-Miner

适用于 Altera 和 Xilinx FPGA 的比特币矿工的完全开源实现。该项目希望促进基于FPGA的挖矿解决方案的自由开放发展,保障整个比特币项目的未来。 Terasic DE2-115 开发板目前提供二进制版本,并且有许多板可编译的项目。
  • 1.2k
  • GNU General Public License v3.0 only

chipwhisperer

ChipWhisperer - 用于侧信道功率分析和毛刺攻击的完整开源工具链。
  • 904
  • GNU General Public License v3.0

vunit

VUnit 是 VHDL/SystemVerilog 的单元测试框架。
  • 624
  • GNU General Public License v3.0

gplgpu

Verilog 中的 GPL v3 2D/3D 图形引擎。
  • 596
  • GNU General Public License v3.0 only

bladeRF-wiphy

BladeRF-wiphy 是一款开源 IEEE 802.11 兼容软件定义无线电 VHDL 调制解调器。
  • 320
  • GNU General Public License v3.0 only

opl3_fpga

Yamaha OPL3 (YMF262) FM 合成器的逆向工程 SystemVerilog RTL 版本。
  • 313
  • GNU Lesser General Public License v3.0 only

forth-cpu

第四个 CPU 和片上系统,基于 J1,用 VHDL 编写。
  • 299

UVVM

UVVM(通用 VHDL 验证方法)是一种免费的开源方法和库,用于对 FPGA 和 ASIC 进行非常高效的 VHDL 验证,从而显着提高质量。社区论坛:https://forum.uvvm.org/ UVVM.org:https://uvvm.org/。
  • 299
  • Apache License 2.0

Hastlayer-SDK

将. NET 软件转变为 FPGA 硬件,以实现更快的执行速度和更低的功耗。
  • 269
  • BSD 3-clause "New" or "Revised"

tinyTPU

用于嵌入式系统和物联网的张量处理单元的实现。
  • 269
  • GNU General Public License v3.0

ghdl-yosys-plugin

VHDL综合(基于ghdl)。
  • 266
  • GNU General Public License v3.0 only

FPGA_DisplayPort

用于 FPGA 的 DisplayPort 协议的实现。
  • 249
  • MIT

potato

用于 FPGA 设计的简单 RISC-V 处理器。 (斯科达尔)。
  • 240
  • BSD 3-clause "New" or "Revised"

a2i

  • 237
  • GNU General Public License v3.0

surf

用于 FPGA 开发的巨大 VHDL 库(由 slaclab 提供)。
  • 235
  • GNU General Public License v3.0

rust_hdl

  • 233
  • GNU General Public License v3.0

satcat5

SatCat5 是一款混合媒体以太网交换机,可让各种设备在同一网络上进行通信。
  • 226
  • GNU Lesser General Public License v3.0 only

zpu

Zylin ZPU。
  • 218

mega65-core

MEGA65 FPGA 内核。
  • 216
  • GNU General Public License v3.0

gb-research

Game Boy 硬件研究。
  • 212

PlayStation_MiSTer

适用于 MiSTer FPGA 的 PlayStation。
  • 197
  • GNU General Public License v3.0 only

OpenXenium

OpenXenium - 原始 Xbox 的开源 Xenium Modchip CPLD 替换项目.
  • 196

VHDL_Lib

VHDL 组件库可用于大型设计。
  • 188

neo430

:计算机:一个该死的小型 msp430 兼容可定制软核微控制器式处理器系统,用独立于平台的 VHDL 编写。
  • 178
  • BSD 3-clause "New" or "Revised"

SNES_MiSTer

MiSTer 的 SNES。
  • 160
  • GNU General Public License v3.0 only

Artix-7-HDMI-processing

在 Artix 7 FPGA 上接收和处理 1080p HDMI 音频和视频。
  • 153