用 Verilog 编写的库

picorv32

PicoRV32 - 尺寸优化的 RISC-V CPU。
  • 2.5k
  • ISC

darkriscv

一晚上用 Verilog 从头开始​​实现 opensouce RISC-V cpu 内核!
  • 1.7k
  • BSD 3-clause "New" or "Revised"

verilog-ethernet

用于 FPGA 实现的 Verilog 以太网组件。
  • 1.6k
  • MIT

corundum

基于 FPGA 的开源 NIC 和网络内计算平台。
  • 1.2k
  • GNU General Public License v3.0

hdl

HDL 库和项目。
  • 1.2k
  • GNU General Public License v3.0

zipcpu

小型、轻量、RISC CPU 软核。
  • 1.1k

serv

SERV - 串行 RISC-V CPU。
  • 1.1k
  • ISC

verilog-axi

用于 FPGA 实现的 Verilog AXI 组件。
  • 992
  • MIT

oh

面向 ASIC 和 FPGA 设计人员的 Verilog 库(由 aolofsson 提供)。
  • 971
  • MIT

OpenROAD

OpenROAD 的统一应用程序实现了 RTL 到 GDS 流程。文档位于 https://openroad.readthedocs.io/en/latest/。
  • 910
  • BSD 3-clause "New" or "Revised"

openc910

OpenXuantie - OpenC910 核心。
  • 844
  • Apache License 2.0

uhd

USRP™ 硬件驱动程序存储库。
  • 821
  • GNU General Public License v3.0

riscv

RISC-V CPU 内核 (RV32IM)(由 ultraembedded 提供)。
  • 813
  • BSD 3-clause "New" or "Revised"

vortex

  • 802
  • BSD 3-clause "New" or "Revised"

verilog-pcie

Verilog PCI Express 组件。
  • 713
  • MIT

open-fpga-verilog-tutorial

了解如何仅使用开源工具设计数字系统并将其综合到 FPGA 中。
  • 679
  • GNU General Public License v3.0 only

apio

:seedling: 开放式 FPGA 板的开源生态系统。
  • 650
  • GNU General Public License v3.0 only

OpenFPGA

开源 FPGA IP 生成器(由 lnis-uofu 提供)。
  • 607
  • MIT

biriscv

32 位超标量 RISC-V CPU。
  • 598
  • Apache License 2.0

microwatt

用 VHDL 2008 编写的小型 Open POWER ISA 软核。
  • 564
  • GNU General Public License v3.0

USB_C_Industrial_Camera_FPGA_USB3

USB C 工业相机项目的源代码和文档文件,此存储库包含 PCB 板、FPGA、相机和 USB 以及 FPGA 固件和 USB 控制器固件源。
  • 553

riscv_vhdl

便携式 RISC-V 片上系统实现:RTL、调试器和模拟器。
  • 519
  • Apache License 2.0

riscv-formal

RISC-V 形式验证框架。
  • 489
  • ISC

OpenTimer

适用于 VLSI 系统的高性能时序分析工具。
  • 437
  • GNU General Public License v3.0

vroom

房间! RISC-V CPU(MoonbaseOtago)。
  • 403
  • GNU General Public License v3.0 only

CFU-Playground

想要更快的机器学习处理器吗?自己做! -- 一个用于使用自定义操作码来加速 TensorFlow Lite for Microcontrollers (TFLM) 的框架。 。 。 。 。 。在线教程:https://google.github.io/CFU-Playground/ 有关参考文档,请参阅下面的链接。
  • 393
  • Apache License 2.0

litepcie

占用空间小且可配置 PCIe 内核。
  • 385
  • GNU General Public License v3.0

basejump_stl

BaseJump STL:SystemVerilog 的标准模板库。
  • 369
  • GNU General Public License v3.0

wb2axip

公交桥等零碎物品。
  • 368

convolution_network_on_FPGA

使用 verilog HDL 在 vi​​rtex-7 FPGA 上进行 CNN 加速。
  • 345